您当前的位置:首页 >  党建材料 >  入党誓词 > 内容

简单模型机的设计说明书(课程设计)

无忧文档网    时间: 2021-02-04 15:26:06     阅读:

计算机组成原理课程设计任务书 题目:
模型机设计 学生姓名:
学 号:
班 级:
软件工程班 指导教师:
一、 计算机组成原理课程设计题目简介 该设计要求学生根据计算机组成原理课程所学知识,设计、开发一套简单的模型计算机。

通过对一个简单计算机的设计,以达到对计算机的基本组成、部件的功能与设计、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整机概念,加深计算机时间和空间概念的理解。

二、 计算机组成原理课程设计的任务 1、查阅文献资料,一般在5篇以上;

2、以教学实验用模型机为背景,通过调研、分析现有的模型机,建立带有8位移位运算指令的整机模型。

3、完成系统编程与测试工作;

4、撰写设计说明书;

5、做好答辩工作。

三、 计算机组成原理课程设计的主要内容、功能及技术指标 1、 根据任务要求设计整机系统的方案。

2、 存储系统:使用模型机的存储模块,说明存储器的输入输出时序,模块连接方式等。

3、 运算器:使用模型机的器件,组成带有片间串行进位8位算术、逻辑运算功能的运算器。

4、 微程序控制器模块:使用教学机的系统,设计微程序控制器。

5、 设计模型机指令系统:(含设计微指令格式、微程序流程图,每条指令所对应的微程序等)。指令系统包括下列指令:IN、OUT、STA、LDA、JMP、BZC、CLR、MOV、ADD、SUB、ADC、ADT、INC、DEC、SBT、SBC 6、 了解并说明教学模型机的输入输出模块。

7、 利用指令系统,编制一个汇编语言小程序并进行调试通过。

8、 整机设计分模块进行,说明模块中数据和控制信号的来源、去向、功能、时序,以及模块间数据和控制信号的来源、去向、功能、时序等。

四、 完成课程设计报告 1、 设计题目、设计任务、实验设备与器材;

2、 整机设计方案,设计原理与内容;

3、 画出模型机数据通路图;

4、 画出设计的模型机微程序流程图和微程序;

5、 说明指令系统的格式;

6、 说明模块中数据和控制信号的来源、去向、功能、时序,以及模块间数据和控制信号的来源、去向、功能、时序等。

7、 调试情况,调试过程中遇到的主要问题,是如何解决的;
对设计和编码的回顾讨论和分析;
改进设想;
经验和体会等;
   五、 计算机组成原理课程设计提交的成果 1. 设计说明书一份,内容包括:
1) 中文摘要100字;
关键词3-5个;

2) 前言;

3) 设计的目的及设计原理;

4) 模型机的逻辑结构及框图;

5) 运算器的物理结构;

6) 存储器系统的组成与说明;

7) 指令系统的设计与指令格式分析;

8) 微程序控制器的逻辑结构及功能;

9) 微程序的设计与实现(含微指令格式、后续地址产生方法以及微程序入口地址的形成) 10) 系统调试报告;

11) 设计总结。

2. 刻制光盘一张。

六、 设计(论文)的主要参考文献 1、 白中英. 计算机组成原理. 科学术出版社,2006.8 2、 白中英. 计算机组成原理题解、题库、实验. 科学术出版社,2006.8 3、 王爱英,计算机组成与结构,清华大学出版社,1999 4、 王诚,计算机组成与结构,清华大学出版社,1999清华大学出版社,1999 5、 唐朔飞. 计算机组成原理,高等教育出版社,1993 七、 各阶段时间安排(共2周):
周次 日期 内容 地点 完成情况 教师签字 第1周 星期一 教师讲解设计要求,准备参考资料 教室 星期二、三 分析系统,方案设计 教室 星期四、五 编程 实验室 第2周 星期一、二 调试系统 实验室 星期三、四 编写设计说明书 实验室 星期五 答辩 实验室 2012年6月18日 ******************* 实践教学 ******************* 兰州理工大学 计算机与通信学院 2012年春季学期 计算机组成原理课程设计 题 目:
模型机设计-1 专业班级:
姓 名:
学 号:
指导教师:
成 绩:
前言 计算机组成原理课程是计算机系很重要的一门专业基础课,从这门课的内容特点看,它属于工程性、技术性和实践性都很强的一门课,因此,在进行课堂教学的同时,必须对实验教学环节给予足够的重视,要有良好的实验环境,能进行反应主要教学内容的、水平确实比较高的实验项目,在深化计算机各功能部件实验的同时,加强对计算机整机硬件系统组成与运行原理有关内容的实验;
在教学实验的整个过程中,坚持以硬件知识为主的同时,加深对计算机整机系统中软硬件的联系与配合的认识。目前,有些单位和院校都研制出一些用于计算机组成原理课程教学实验的系统或装置,也各具特色但基本上都是相对孤立的功能部件的实验,整机硬件方面的实验很难胜任,更不能对计算机系统中硬软件的联系和配合的学习提供足够的帮助。

计算机组成原理实验系统作为较高层次、专用于计算机原理课程教学实验的实验计算机系统具有良好的实验性能和系统的完整性以及可扩展性。

为了锻炼学习的积极性和学生的动手能力,组织这样的课程设计是十分必要的,对与计算机硬件的学习,计算机组成原理是一门很重要的学科,要能够真正掌握计算机的组成原理,实践是必须的。

目 录 摘要 5 第一章:设计目的及设计原理…………………………………………5 1.1设计目的 6 1.2课程设计的主要内容、功能及技术指标……………………………………6 1.3实验设备与器材 6 1.4设计原理……………………………………………………………6 第二章:模型机的逻辑结构及框图 7 2.1模型机的逻辑结构 7 2.1.1运算器模块 8 2.1.2寄存器堆模块 8 2.1.3程序计数器PC 9 2.1.4地址寄存器 10 2.1.5指令寄存器模块 10 2.1.6启停和时序电路模块 10 2.1.7微程序控制器模块电路 11 2.1.8主存储器单元电路 11 2.1.9输入输出设备 11 2.2模型机的数据通路 12 第三章:运算器的物理结构 13 1.运算器的设计 13 第四章:存储器系统的组成与说明……………………………………14 4.1主存储器的组成…………………………………………………………………14 4.2存储器的原理图……………………………………………… 15 4.3存储器输入输出时序 16 第五章:指令系统的设计与指令格式分析……………………………16 5.1数据格式 16 5.2指令格式 16 5.3指令系统 17 第六章:微程序控制电器的逻辑结构及功能…………………………18 6.1微程序控制电路…………………………………………………………………18 6.2微指令格式………………………………………………………………18 第七章:微程序的设计与实现 19 7.1监控软件的设计 19 7.2模型机微程序流程图 19 7.3二进制微代码表 22 7.4微程序的设计 23 第八章:系统调试 24 8.1连线 24 8.2联机读/写程序 25 8.3运行程序 25 8.4调试时的问题及解决…………………………………………25 设计总结 26 参考文献 27 致谢 28 摘要 试验机为学生提供了运算器模块ALU、寄存器堆模块、指令部件模块、内存模块、微程序模块、启停和时序电路模块、控制台控制模块以及扩展模块。

本设计利用试验机完成对基本模型机的设计,其主要内容是建立带有带8位自增、自减指令的整机模型,并在此基础上完成一系列的指令,其指令主要有:输入输出指令IN、OUT、访问内存指令MOV、LDA、STA、算术逻辑指令ADC、SBC、INC、DEC、CLR 关键词:存储系统、运算器、微程序控制器、指令系统、微指令 第一章:设计目的及设计原理 1.1设计目的 该设计要求学生根据计算机组成原理课程所学知识,设计、开发一套简单的模型计算机 通过对一个简单计算机的设计,以达到对计算机的基本组成、部件的功能与设计、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整机概念,加深计算机时间和空间概念的理解。

1.2课程设计的主要内容、功能及技术指标 1、根据任务要求设计整机系统的方案。

2、存储系统:使用模型机的存储模块,说明存储器的输入输出时序,模块连接方式等。

3、运算器:使用模型机的器件,组成带有片间串行进位16位算数逻辑运算功能的运算器,带有带8位自增、自减指令的整机模型。

4、微程序控制器模块:使用教学机的系统,设计微程序控制器。

5、设计模型机指令系统:(含设计微指令格式、微程序流程图,每条指令所对应的微程序等)。指令包括以下指令:IN、OUT、STA、LDA、JMP、BZC、CLR、MOV、ADD、SUB、ADC、ADT、INC、DEC、SBT、SBC 6、了解并说明教学模型机的输入输出模块。

7、在自己设计的指令系统基础上,编制一个汇编语言小程序并进行调试通过。

8、整机设计分模块进行,说明模块中数据和控制信息的来源、去向、功能、时序,以及模块间数据和控制信号的来源、去向、功能、时序等。

1.3实验设备与器材 DVCC试验箱;
74LS181四位算术逻辑单元/函数发生器,暂存器74LS273,输出缓冲/显示驱动74LS245,移位寄存器74LS299,4位二进制计数器74LS161,74LS138译码器,E2PROM 2816芯片,6264芯片;
PC机一台;
排线若干 1.4设计原理 1.4.1运算器 本实验主要完成8位算术运算,逻辑运算并带有移位功能的运算器。运算器由2片74LS181构成,它是运算器的核心。它可以由两个8位二进制数进行多种算术或逻辑运算,具体由74LS181的功能控制条件M、CN、S3、S2、S1、S0来决定。两个参加运算的数分别来自两片8位锁存器74LS273,运算结果直接输出到缓冲器74LS245,由输出缓冲器发送到系统的数据总线上,以便进行移位操作或参加下一次运算。移位运算器由74LS299和进位控制电路组成,实现移位运算。

1.4.2存储器 主存储器主要用于存放机器指令。本次设计采用6264存储器作为主存储器,它的地址总线由地址寄存器单元电路中的地址寄存器74LS273给出,它的写信号,片选信号由写入方式决定。

1.4.3控制器 核心控制器主要完成接受机器指令译码器送来的代码,使系统控制转向相应的机器指令对应的首条代码程序入口,然后执行微代码所规定的操作。也就是说对当前的机器指令的功能进行解释和执行工作。更具体地讲,就是通过接受CPU指令译码器发来的信号,找到本条指令对应的首条微代码程序所在的微地址的入口,再通过系统时钟引入的时序节拍脉冲的控制,逐条读出微代码,微代码值再经过译码器译码,从而产生各部分电路所需的相应的控制信号,将它们加到数据通路中相应的控制位,完成各自功能。即对该指令的功能进行解释和执行。一条指令解释和执行完之后,早继续执行下一条微指令对应的微地址入口,这样周而复始,即可实现机器指令的顺序,分支,循环运行。

1.4.4输入输出 本系统有两种外部I/O设备,一种是矩阵式键盘,它作为输入设备INPUT;
另一种是字符显示设备,它作为输出设备OUTPUT。

键盘是计算机系统中最基本的输入设备,是一种通过按键直接向计算机输入信息的设备。

显示器作为终端设备独立存在,即键盘输入和显示输出是一个整体,通过标准的串行接口与主机相连。

第二章:模型机的逻辑结构及框图 2.1模型机的逻辑结构 2.1.1运算器模块 运算器模块主要由运算器U31、U32(74LS181)、暂存器U29、U30(74LS273)、输出缓冲器U33(74LS245)以及进位控制和判零标志控制电路等构成。

运算器的核心部件是74LS181,它可以对两个8位的二进制数进行多种逻辑或算术运算,具体由其功能控制条件M、CN、S3、S2、S1、S0来决定,两个参加运算的数分别来自暂存器U29和U30,运算结果直接输出到输出缓冲器U33,由输出缓冲器发送到系统的数据总线上,以便进行移位操作或参加下次运算。

2.1.2寄存器堆模块 寄存器堆模块为实验计算机提供了4个8位通用寄存器。它们用来保存操作数及中间运算结果,它对运算器的运算速度、指令系统的设计等都有密切的关系。

4个寄存器均采用74LS374(U41~U44),它的输入全部相连后连到系统数据总线上BUSD0~D7,总线上的数据具体写入哪个寄存器由各自的写入脉冲(LDR0K~LDR3K)控制,4个寄存器的输出共用一个排针REGBUS引出,在使用时再连到系统总线上,具体由哪个寄存器读出,由各自的输出允许信号R0B,~R3B,控制 2.1.3程序计数器PC 程序计数器PC由二片可预置的4位二进制同步计数器74LS161(U38,U39)构成,它具有接数、计数、清零等功能。程序计数器的输出采用三态传输器件74LS245(U40),当控制台总清开关为“0”时(LCLR指示灯灭),清零程序计数器,总清开关平时为“1”电平。

(1)停机状态启动时,程序计数器PC的工作情况 PC的接数控制信号为LOAD,接数工作脉冲为CLK161。当LDPC=1时,且时钟脉冲T4电平正跳时,程序计数器PC的工作脉冲端CLK161的电平便正跳变,它把总线BUSD0~D7上的启动地址值接入程序计数器74LS161的输入,启动地址可为000~0FF中的任意一个值,此时,当LOAD=0时,74LS161的输入端的数据被预置成输入端的数据,即初始化启动地址。74LS161的输出经三态传输器件74LS245(U40)控制输出到8芯排针PCBUS,U40由PCB,信号控制,PCB,=0时,初始地址值由U40输出到内部数据总线上 (2)运行时PC的工作情况 当需要取下条指令或取指令的下一个字节时,应控制PC为计数状态,U38是程序计数器的低4位,U39是程序计数器的高4位,低4位产生的进位信号TC接到高4位的进位输入端CET,由于运行状态时,CLR=1,故只要控制LOAD=1,便使PC为计数状态,在LDPC=1,且时钟脉冲T4正跳时,PC的计数脉冲CLK161正跳变,PC便计数加1 2.1.4地址寄存器 地址寄存器部分由地址寄存器和地址显示灯构成。地址寄存器采用74LS273(U37),它的输入直接连到系统总线BUSD0~D7上,输出直接接到程序存储器6264(U52)的地址输入端AD0~AD7,输出为三态。

当LDAR,=1,且时钟脉冲T3正跳变时,74LS273(U37)的工作脉冲正跳,将总线上的地址值锁存到74LS273中,由于74LS273的输出不受控制,因此地址值直接输出到地址总线AD0~AD7上,地址显示灯LAD0~LAD7用于显示地址值AD0~AD7,高电平亮,低电平灭 2.1.5指令寄存器模块 指令寄存器模块中指令寄存器74LS273(U36)的输出部分以排针形式引出到1J1,部分内部已连好,构成实验计算机时用它作为指令译码电路的输入,实现程序跳转控制。

2.1.6启停和时序电路模块 本模块由三个部分组成:时钟脉冲源、单拍脉冲及消抖电路、时序控制电路和系统运行控制开关组。

(1)时钟信号源 时钟信号源由时基电路555(U46)和可再触发单稳态多谐振荡器74LS123(U47)构成。555时基电路产生一定频率的方波信号H24,74LS123中一个单稳态电路74LS123(U47)用于延时,产生特定占空比的信号H23,时间T的长短由外接的电阻和电容决定。

(2)单拍脉冲及消抖电路 在实验计算机中,配有单拍脉冲产生按钮,每按一次手动脉冲按钮,在其SD端输出一个正脉冲,在SQ端输出一个负脉冲,用与非门来作为消抖电路时序控制电路和系统运行开关组 2.1.7微程序控制器模块电路 微程序控制器模块主要由微程序编程器、核心微控制器量两部分组成。

(1)微程序编程器 微程序编程器就是将预先定义好的机器码对应的微代码程E2ROM2816控制存储器中,并可以对控制存储器中的数据进行校验。

(2)核心微控制器 核心微控制器主要完成接收机器指令译码器送来的代码,使系统控制转向相应的机器指令对应的首条微代码程序的入口,然后执行微代码所规定的操作。也就是说对当前的机器指令的功能进行解释和执行的工作 2.1.8主存储器单元电路 主存储器单元电路主要用于存放实验中的机器指令 存储器由一片6264组成,实际使用容量为256字节,6264有四个控制线:CS1第一片选线,CS2第二片选线,OE读线,WE写线。

存储器芯片种类繁多、容量不一样。当一片RAM不能满足存储容量位数(或字数)要求时,需要多片存储芯片进行扩展,形成一个容量更大、字数位数更多的存储器。扩展方法根据需要有位扩展、字扩展和字位同时扩展3种。

2.1.9输入输出设备 (1)输入设备单元 系统中用8个拨动开关作为输入设备,通过总线驱动器 74LS245(U51)输出到系统的扩展数据总线 EXD0~EXD7 上,输入的数据显示在 LD0~LD7 八个 LED 上,高电平亮,低电平灭。

(2)输出设备单元 此单元设置两个七段数码管,用于显示需要输出的数据。七段数码管的译码电路由两片GAL16V8(U53、U54)组成。

2.2模型机的数据通路 此模型机是由运算器,控制器,存储器,输入设备,输出设备五大部分组成。运算器由算术逻辑单元(ALU)、累加寄存器、数据缓冲寄存器和状态条件寄存器组成,它是数据加工处理部件。相对控制器而言,它是执行部件。运算器有两个主要功能:
(1)执行所有的算术运算;
(2)执行所有的逻辑运算,并进行逻辑测试,如零值测试或两个值的比较。控制器根据指令操作码和时序信号,产生各种操作控制信号,以便正确地建立数据通路,从而完成取指令和执行指令的控制。存储器作为计算机的记忆部件,用于存放程序和数据。输入设备为键盘,计算机键盘的功能就是及时发现被按下的键,并将该按键的信息送入计算机。输出设备为显示器将输出的信息以字符的形式显示出来。

模型机运行的主要过程为首先将程序计数器PC的内容装入地址寄存器AR;
然后程序计数器的内容加1,为下一条程序做准备;
接着地址寄存器的内容放到地址总线上;
从而使存储单元的内容传送的缓冲寄存器DR;
然后将缓冲寄存器的内容传送到指令寄存器。到这里完成了取指令。比如现在要做的操作为执行CLA指令,操作控制器送一控制信号给ALU,接着ALU响应控制信号对AC清零。如果接下来执行ADD操作,取指令与上面相同,然后从内存中读取操作数,操作数与累加器相加后存入累加器。

图3-1 数据通路图 第三章:运算器的物理结构 1.运算器的设计 实验中所用16位运算器数据通路如图3-1所示。其中运算器由四片74LS181以并\串形成16位字长的ALU构成,低8位运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,进行调试时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,低8位数据总线通过LZD0~LZD7显示灯显示;
高8位运算器的输出经过一个三态门74LS145(U33,)到ALUO1,插座,调试时用8芯排线和高8位数据总线BUSD8~D15插座KBUS1或KBUS2相连,高8位数据总线通过LZD8~LZD15显示灯显示;
参与运算的四个数据输入端分别由四个锁存器74LS273(U29、U30、U29,、U30,、)锁存,调试时四个锁存器的输入并联后用8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;
参与运算的数据源来自于8位数据开关KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,输入的数据通过LD0~LD7显示 表3-1 74LS181功能表 运算器数据通路图 第四章:存储器系统的组成与说明 4.1主存储器的组成 存储器由一片6264组成,实际使用容量为256字节,6264有四个控制线:CS1第一片选线,CS2第二片选线,OE读线,WE写线,功能表如下:
表3.1 6264功能表 工作方式 I/O 输入 DI DO /OE /WE /CS 非选择 X HIGH-Z X X H 读出 HIGH-Z DO L H L 写入 DI HIGH-Z H L L 写入 DI HIGH-Z L L L 选择 X HIGH-Z H L L 4.2存储器的原理图 存储器原理图如图3.1所示:
本机主存储器采用一级cache-存储器结构。主要用于存放试验机的机器指令。它的数据总线挂在外部数据总线EXD0~EXD7上;
它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED灯LAD0~LAD7显示,高电平亮,低电平灭;
在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内部数据总线BUSD0~BUSD7,分时给出地址和数据。它的读信号直接接地;
它的写信号和片选信号由写入方式确定。该存储器中机器指令的读写分手动和自动两种方式。手动方式下 ,写信号由W/R` 提供,片选信号由CE`提供;
自动方式下,写信号由控制CPU的P1.2提供,片选信号由控制CPU的P1.1提供。

由于地址寄存器为8位,故接入6264的地址为A0~A7,而高4位A8~A12接地,所以其实际使用容量为256字节。6264有四个控制线:CS1 第一片选线、CS2第二片选线、OE读线、WE写线。CS1片选线由CE`控制(对应开关CE)、OE读线直接接地、WE写线由W/R`控制(对应开关WE)、CS2直接接+5V。

4.3存储器输入输出时序 形成时钟脉冲信号T3的方法如下:在时序电路模块中有两个二进制开关“运行控制”和“运行方式”。将“运行控制”开关置为“运行”状态、“运行方式”开关置为“连续”状态时,按动“运行启动”开关,则T3有连续的方波信号输出,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号;
本实验中“运行方式”开关置为“单步”状态,每按动一次“启动运行”开关,则T3输出一个正单脉冲,其脉冲宽度与连续方式相同。

第五章:指令系统的设计与指令格式分析 5.1数据格式 模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下:
7 6 5 4 3 2 1 0 符号 尾 数 其中第7位为符号位,数值表示范围是:-1≤X<1 5.2指令格式 模型机设计指令共10条,其中包括算术逻辑指令、I/O 指令、访问和转移指令。

(1).算术逻辑指令 设计6条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下:
7 6 5 4 3 2 1 0 OP-CODE RS RD 其中,OP-CODE 为操作码,RS 为源寄存器,RD 为目的寄存器,并规定:
RS 或 RD 选定的寄存器 00 01 10 R0 R1 R2 (2)访问指令及转移指令 模型机设计 2 条访问指令,即存数(STA)、取数(LDA),指令格式为:
7 6 5 4 3 2 1 0 0 0 M OP-CODE RD D 其中,OP-CODE 为操作码,RD 为目的寄存器地址(LDA、STA 指令使用)。D 为位移量(正负均可),M 为寻址模式,其定义如下:
寻址模式 M 有效地址 E 说 明 00 01 10 11 E=D E=(D) E=(RI)+D E=(PC)+D 直接寻址 间接寻址 RI变址寻址 相对寻址 本模型机规定变址寄存器 RI 指定为寄存器 R2。

(3)I/0指令 输入(IN)和输出(OUT)指令采用单字节指令,其格式如下:
7 6 5 4 3 2 1 0 OP-CODE ADDR RD 其中,ADDR=01 时,选中“INPUT DEVICE”中的开关组作为输入设备,ADDR=10 时,选中“OUTPUT DEVICE” 中的数码块作为输出设备。

5.3指令系统 本模型机共有10条基本指令,其中算术运算类指令6条(INC、DEC、ADC、SBC、MOV、CLR),访问内存指令和程序控制指令4条(STA、LDA),I / O指令2条(IN、OUT),下表列出了各条指令的格式、汇编符号、指令功能。

汇编符号 指令格式 功能 CLR rd 0110 00 rd 0→rd MOV rs rd 0111 rs rd rs→rd IN addr rd 0100 01 rd addr→rd OUT addr rd 0101 10 rd rd→addr LDA M D rd 00 M 00 rd D E→rd STA M D rd 00 M 01 rd D rd→E INC rd 1010 rs rd rs+1→rd DEC rd 1011 rs rd rs-1→rd ADC rs rd 1000 rs rd rs+rd+cy→rd SBC rs rd 1001 rs rd rs-rd-cy→rd 第六章:微程序控制电器的逻辑结构及功能 6.1微程序控制电路 微程序控制器的组成中,控制存储器采用3片E2PROM2816芯片,具有掉电保护功能,微命令寄存器18位,用2片8D触发器74LS273(U23、U24)和一片4D触发器74LS175(U27)组成。微地址寄存器6位,用三片正沿触发的双D触发器74LS74组成,它们带有清零端和预置端。在不判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令的地址。当T4时刻进行测试判别时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器置为“1”状态,完成地址修改。

6.2微指令格式 微指令格式: 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 S3 S2 S1 S0 M Cn WE B1 B0 A B C uA5 uA4 uA3 uA2 uA1 uA0 A字段 C字段 B字段 15 14 13 选择 0 0 0 0 0 1 LDRi 0 1 0 LDDR1 0 1 1 LDDR2 1 0 0 LDIR 1 0 1 LOAD 1 1 0 LDAR 9 8 7 选择 0 0 0 0 0 1 P(1) 0 1 0 P(2) 0 1 1 P(3) 1 0 0 P(4) 1 0 1 AR 12 11 10 选择 0 0 0 0 0 1 RS-B 0 1 0 RD-B 0 1 1 RI-B 1 0 0 299-B 1 0 1 ALU-B 1 1 0 SW-B 1 1 1 PC-B 其中UA5~UA0为下一条微指令微地址,A、B、C为三个译玛字段,分别由三个控制位译码出多种不同控制信号。

A字段中的LDRi为打入工作寄存器信号的译码器使能控制位。B字段中的RS-B、RD-B、RI-B分别为源寄存器选通信号、目的寄存器选通信号及变址寄存器选通信号,其功能是根据机器指令来进行三个工作寄存器R0、R1及R2的选通译码。C字段中的P(1)~P(4)是四个测试字位。其功能是根据机器指令及相应微代码进行译码,使微程序转入相应的微地址入口,从而实现微程序的顺序、分支、循环运行。AR为算术运算是否影响进位及零标志位控制位。

第七章:微程序的设计与实现 7.1监控软件的设计 模型机的监控软件,详细如下:
$P00 44 IN 01,R0 $P01 46 IN 01,R2 $P02 88 ADC R2,R1 $P03 71 MOV R0,R1 $P04 A0 INC RO,RO $P05 58 OUT R0,10 $P06 5A OUT R2,10 7.2模型机微程序流程图 PC→AR PC+1 RAM→BUS BUS→IR P(1) PC→AR PC+1 PC→AR PC+1 RAM→BUS BUS→AR RAM→BUS BUS→DR1 RAM→BUS BUS→DR1 SW→BUS BUS→RD PC→AR PC+1 PC→AR PC+1 RD→AR RAM→BUS BUS→DR1 PC→AR BUS→AR RAM→BUS BUS→DR1 R1→DR2 RAM→BUS BUS→AR DR1+DR2→BUS→AR RAM→BUS BUS→DR2 DR1+DR2→BUS→AR DR1+DR2→BUS→DR1 DR1+DR2→BUS→DR1 运行微程序 01 02 10 00(直接) 01(间接) 10(变址) 11(相对) IN OUT 10 11 12 13 14 15 03 05 0A 0F 04 06 0C 1C 07 0D 1D 0E 1E 01 01 P(2) RAM→BUS BUS→DR1 01 RAM→BUS BUS→AR 01 20 20 21 LDA STA 微程序流程图(1) PC→AR PC+1 RAM→BUS BUS→IR P(1) RS→RD RAM→BUS BUS→DR2 RAM→BUS BUS→DR2 RS→BUS BUS→DR1 RAM→BUS BUS→DR1 RD→AR ALU=0→BUS BUS→RD DR1+1→BUS→RD RAM→BUS BUS→DR1 DR1→DR1 DR1/→DR1 DR1+DR2→BUS→RD 运行微程序 01 02 10 CLR MOV ADC SBC INC DEC DR1-1→BUS→RD R1+1→BUS→DR1 DR1→DR1 DR1+DR2→BUS→RD 16 17 18 19 1A 1B 1F 23 29 2A 22 24 25 26 27 28 01 01 01 01 01 01 控 制 台 P(4) PC→AR PC+1 PC→AR PC+1 (SW)→BUS BUS→DR1 RAM→BUS BUS→DR1 DR1→RAM DR1→LED 00 08 写 09 08 0B 2B 2D 2C 2E 01 微程序流程图(2) 7.3二进制微代码表 微地址 S3 S2 S1 S0 M CN WE B1 B0 A B C UA5…UA0 00 0 0 0 0 0 1 0 1 1 000 000 100 001000 01 0 0 0 0 0 1 0 1 1 110 110 110 000010 02 0 0 0 0 0 1 0 0 1 100 000 001 010000 03 0 0 0 0 0 1 0 0 1 010 000 000 000100 04 0 0 0 0 0 1 0 1 1 110 110 000 100000 05 0 0 0 0 0 1 0 0 1 110 000 000 000110 06 1 0 0 1 0 1 0 0 1 010 000 000 000111 07 0 0 0 0 0 1 0 0 1 110 000 000 100000 08 0 0 0 0 0 1 0 0 0 110 110 110 101101 09 0 0 0 0 0 1 0 0 0 110 110 110 101011 0A 0 0 0 0 0 1 0 0 1 010 000 000 001010 0B 0 0 0 0 0 1 0 1 1 000 000 000 000001 0C 0 0 0 0 0 1 0 1 1 011 001 000 001101 0D 1 0 0 1 0 1 1 1 1 110 101 000 001110 0E 1 0 0 1 0 1 0 1 1 010 101 000 100000 0F 0 0 0 0 0 1 0 0 1 010 000 000 011100 10 0 0 0 0 0 1 0 1 1 110 110 110 000011 11 0 0 0 0 0 1 0 1 1 110 110 110 000101 12 0 0 0 0 0 1 0 1 1 110 110 110 001010 13 0 0 0 0 0 1 0 1 1 110 110 110 001111 14 0 0 0 0 0 1 0 0 0 001 000 000 000001 15 0 0 0 0 0 1 1 1 0 110 010 000 000001 16 0 0 0 0 0 1 0 1 1 001 101 000 000001 17 0 0 1 1 0 0 1 1 1 001 001 000 000001 18 0 0 0 0 0 1 1 1 1 010 001 000 011111 19 0 0 1 1 1 1 0 1 1 011 010 000 100011 1A 0 0 0 0 0 1 0 1 1 010 001 000 101001 1B 0 0 0 0 0 1 0 1 1 010 010 000 101010 1C 0 0 0 0 0 1 0 0 1 011 000 000 011100 1D 1 0 0 1 0 1 0 1 1 110 101 000 011101 1E 1 0 0 1 0 1 0 1 1 010 101 000 100000 1F 0 0 0 0 0 1 0 0 1 011 000 000 100010 20 0 0 0 0 0 1 0 0 1 010 000 000 000001 21 0 0 0 0 0 1 0 0 1 110 000 000 000001 22 1 0 0 1 0 0 0 1 1 001 101 000 000001 23 0 0 0 0 0 1 0 1 1 010 010 000 100100 24 0 0 0 0 0 1 0 1 1 010 000 000 100101 25 0 0 0 0 0 1 0 1 1 010 000 000 100110 26 0 0 0 0 0 1 0 1 1 010 101 000 100111 27 0 0 0 0 0 1 0 1 1 010 000 000 101000 28 1 0 0 1 0 0 0 1 1 001 000 000 000001 29 0 0 0 0 0 1 0 1 1 001 101 000 000001 2A 0 0 0 0 0 1 0 1 1 001 101 000 000001 2B 0 0 0 0 0 0 0 0 0 010 000 000 101100 2C 0 0 0 0 0 1 0 0 1 000 000 000 001001 2D 0 0 0 0 0 1 0 0 1 010 000 000 101110 2E 0 0 0 0 0 1 0 1 0 000 000 000 001000 7.4微程序的设计 $M00 088105 $M01 82ED05 $M02 50C004 $M03 04A004 $M04 20EC05 $M05 06E004 $M06 07A094 $M07 20E004 $M08 AD6D04 $M09 AB6D04 $M0A 0AA004 $M0B 018005 $M0C 0DB205 $M0D 0EEA97 $M0E 20AA95 $M0F 1CA004 $M10 83ED05 $M11 85ED05 $M12 8AED05 $M13 8FED05 $M14 011004 $M15 016407 $M16 019A05 $M17 019233 $M18 1FA207 $M19 23B43D $M1A 29A205 $M1B 2AA405 $M1C 1CB004 $M1D 1DEA95 $M1E 20AA95 $M1F 22B004 $M20 01A004 $M21 01E004 $M22 019A91 $M23 24A405 $M24 25A005 $M25 26A005 $M26 27AA05 $M27 28A005 $M28 019091 $M29 019A05 $M2A 019A05 $M2B 2C2000 $M2C 098004 $M2D 2EA004 $M2E 080005 第八章:系统调试 8.1连线 a、跳线器J1~J12全部拨在右边(自动工作方式);

b、跳线器J16、J18、J23、J24全部拨在左边;

c、跳线器J15、J19、J25全部拨在右边,跳线器J13、J14拨在左边;

d、跳线器J20~J22、J26、J27连上短路片;

e、UJ1连UJ2,JSE1连JSE2,SJ1连SJ2;

f、MBUS连BUS2;

g、REGBUS连BUS5;

h、PCBUS连EXJ2;

i、ALUBUS连EXJ3;

j、ALUO1连BUS1;

k、EXJ1连BUS3;

l、ALUO2连BUS4;

m、IJ1连IJ2。

8.2联机读/写程序 用DVCC联机软件的装载功能将16进制格式文件(文件名为test)装入实验机即可。

8.3运行程序 (1)单步运行程序 a. “编程开关”置“运行”状态,“运行方式”开关置为“单步”状态,“运行控制”开关置为“运行”状态。

b. 拨动总清开关(0→1),微地址清零,PC计数器清零,程序首地址为OOH。

c. 按动“启动运行”开关,即单步运行一条微指令。对照微程序流程图,观察微地址显示灯是否和流程一致。

(2) 连续运行程序 a. “编程开关”置“运行”状态,“运行方式”开关置为“连续”状态,“运行控制”开关置为“运行”状态。

b. 拨动总清开关,清微地址及PC计数器,按动“启动运行”开关,系统连续运行程序。如果要停止程序的运行,只需将“运行控制”开关置为“停止”状态,系统就停机。

8.4调试时的问题及解决 在调试程序时,由于理论和实践没有很好的结合在一起,我们遇到了很多问题,总结起来有以下几点:
1.接线错误。没有检查排线是否正常或者由于粗心,排线的插孔没有对齐,排线接错,导致程序运行错误。

2.在写程序时的错误。在推导RLC的微地址时,由于只是从理论出发,我们推导通过P1测试后RLC操作转向的微代码的地址为1E,经过程序的调试,这个地址是错误的,应该为0D。

3.在硬件设计时,由于对硬件的理解不清,导致在硬件电路连接时出现错误。

4.对微控制器不熟悉,对微程序理解有误,导致在写二进制代码是错误,进过修改,得到正确。

设计总结 在本次课程设计中,在规定的时间内,基本上完成了课程设计的要求,开发出了题目所要求的系统。

通过本次课程设计,使我对计算机组成原理的理论有了更深刻的认识,对计算机中各模块功能以及各模块间的联系有了更深刻的了解,包括存储器模块,运算器模块,控制器模块,指令系统,输入输出设备等。

在系统设计的过程当中,合作的力量是不可忽视的。一个人的作用有限,简单的软件开发一个人可以完成,但是对于复杂的任务仅靠一个人的力量是很难实现的。只有好的分工合作,才能在最短时间内完成任务。经过两个星期的课程设计,使我对组成原理这门课程的内容的理解更深刻,将理论课程中学到的知识运用到实践中,增强了实际动手能力。

通过本次系统设计,使我深信,只要能够在实践中认真思考,就会有收获。能力有多少就做出多少。虽然设计大体上差强人意,内容少还有一些欠缺的地方,但是多少还是学到了一些书本上没有的东西。另外与老师同学的交流使自己更好地掌握了书本中的知识。

参考文献 [1] 白中英.计算机组成原理.科学技术出版社,2006.8 [2] 白中英.计算机组成原理题解、题库、实验.科学技术出版社,2006.8 [3] 王爱英,计算机组成与结构,清华大学出版社,1999 [4] 唐朔飞,计算机组成原理,高等教育出版社,1993 [5] 王诚.刘卫东,计算机组成与设计实验指导,清华大学出版社,2005 致谢 本次课设我学到了很多东西,一部分来自于老师,一部分来自同学,还有通过各种参考资料获得。老师为我顺利完成课设奠定了牢固的基础,所以在这儿我要特别感谢我的计算机组成原理的授课老师包仲贤老师,除了给了我了知识基础,还给了我解决问题的思想;
另外还要特别感谢我的课设指导老师包老师+,在课设指导中我指出了一些实际性的问题和不合适的地方,,使我顺利的完成课设。还有我身边的同学也给了我很多的帮助在此也一并感谢。

《简单模型机的设计说明书(课程设计).doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:

文档为doc格式

相关热搜

《简单模型机的设计说明书(课程设计).doc》

VIP请直接点击按钮下载本文的Word文档下载到电脑,请使用最新版的WORD和WPS软件打开,如发现文档不全可以联系客服申请处理。

文档下载
VIP免费下载文档

浏览记录